Показать сообщение отдельно
Старый 17.02.2023, 12:39   #9
DmitryT
Новичок
 
Регистрация: Feb 2023
Сообщения: 8
Благодарил(а): 2 раз(а)
Поблагодарили: 0 раз(а) в 0 сообщениях
По умолчанию Ответ: перевод знакового целого в беззнаковое

Цитата:
Сообщение от Arsie Посмотреть сообщение
ABS не нужен, т.к. данные изначально должны быть в беззнаковой форме и правильной разрядности. Просто real->long и считывать младший регистр.

Ну либо у вас всё же несколько иная задача, которую вы пытаетесь решить вот таким вот странным способом.
Задача вывести показания влажности с Puxel на Z031. А влажность на Z031 должна выводиться как uint.
DmitryT вне форума   Ответить с цитированием