Показать сообщение отдельно
Старый 16.01.2014, 14:55   #2
InHELL
Новичок
 
Регистрация: Oct 2013
Сообщения: 14
Благодарил(а): 0 раз(а)
Поблагодарили: 0 раз(а) в 0 сообщениях
По умолчанию Ответ: Ошибка ^D-триггера

Цитата:
Сообщение от Alexsis Посмотреть сообщение
При включении питания на первом ^D-триггере появляется логическая единица почему ???
Осмелюсь предположить, что у вас проскакивает сигнал на вход ^. Значение температуры (наверняка проходит через ПИД-регулятор), дергается резко вверх, в связи с чем запоминается триггером, а потом выравнивается. Не судите строго, я новенький, могу глупость сказать.
InHELL вне форума   Ответить с цитированием