PDA

Просмотреть полную версию : Звено чистого запаздывания


sensei
10.11.2014, 23:01
Какие есть рекомендации по реализации звена чистого запаздывания
exp ^ (- tau s),
где tau в пределах часа (в пределах 10-20 шагов дискретной системы)?

Aard
10.11.2014, 23:49
Блок Spdelay с количеством выходов, равным количеству нужных дискретных шагов.
Вход dt - длительность дискретного шага в тиках.
На последнем выходе блока получаете сигнал с задержкой tau.

sensei
11.11.2014, 19:52
Блок Spdelay с количеством выходов, равным количеству нужных дискретных шагов.
Вход dt - длительность дискретного шага в тиках.
На последнем выходе блока получаете сигнал с задержкой tau.

Есть возможность сбросить (обнулить) этот стек каким-либо образом без перезаписи программы?

Есть возможность использовать вместо dt синхроимпульсы?

Система дискретная, работает от синхроимпульсов, тик будет 5...10 минут.

Aard
11.11.2014, 21:09
Есть возможность сбросить (обнулить) этот стек каким-либо образом без перезаписи программы?

Есть возможность использовать вместо dt синхроимпульсы?

Система дискретная, работает от синхроимпульсов, тик будет 5...10 минут.

Может, если система работает от внешнего генератора управляющих импульсов, её лучше собрать на последовательности ^REG-триггеров?
Тогда, по появлению синхроимпульса, значение каждого ^REG-триггера записывается в следующий по цепочке.
Выход последнего ^REG и будет значением сигнала с запаздыванием.
И будет возможность обнулить их все, подав "1" на вход "R".

sensei
12.11.2014, 09:13
Может, если система работает от внешнего генератора управляющих импульсов, её лучше собрать на последовательности ^REG-триггеров?

Была сразу такая мысль, но схема чересчур громоздкая получается.

Попробовал для начала spdelay. Если будет наблюдаться рассинхрон, придется реализовывать на триггерах.

Arsie
12.11.2014, 09:25
Была сразу такая мысль, но схема чересчур громоздкая получается.

Попробовал для начала spdelay. Если будет наблюдаться рассинхрон, придется реализовывать на триггерах.

Попробуйте использовать Shift(16), а то собирать на триггерах сдвиговый регистр как-то слишком уж канонично :blush: